ツッコミされないソースコード素材

漫画とかでソースコードとか演出に使う時に、ツッコミを受けないための素材です。
全部自分で書いたものです。
商用/同人/改変フリーで引用元の記載もいりません。
VHDLなのでソフト系の技術者には分からないのがウリです。

entity DVDP is
 Port ( ADRGB : out STD_LOGIC_VECTOR (15 downto 0);
 CLK : in STD_LOGIC;
 RESET : in STD_LOGIC;
 VSYNC : out STD_LOGIC;
 HSYNC : out STD_LOGIC;
 DE : out STD_LOGIC;
 DCLK : out STD_LOGIC;
 CLK574 : out STD_LOGIC;
 SRAMD : inout STD_LOGIC_VECTOR (7 downto 0);
 SRAM_WR : out STD_LOGIC;
 SRAM_OE : out STD_LOGIC;
 MAINRAM_A : out STD_LOGIC_VECTOR (13 downto 0);
 MAINRAM_D : in STD_LOGIC_VECTOR (7 downto 0);
 PALROM_A : out STD_LOGIC_VECTOR (10 downto 0);
 PALROM_D : in STD_LOGIC_VECTOR (15 downto 0);
 LINEBUF_WR : out STD_LOGIC;
 LINEBUF_AIN : out STD_LOGIC_VECTOR (9 downto 0);
 LINEBUF_DIN : in STD_LOGIC_VECTOR (15 downto 0);
 LINEBUF_DOUT : out STD_LOGIC_VECTOR (15 downto 0);
 LINEBUF_AOUT : out STD_LOGIC_VECTOR (9 downto 0);
 TEST_DIN : in STD_LOGIC_VECTOR (7 downto 0);
 TEST_A : out STD_LOGIC_VECTOR (11 downto 0));
end DVDP;

architecture Behavioral of DVDP is
signal HCOUNT : std_logic_vector(9 downto 0);
signal VCOUNT : std_logic_vector(8 downto 0);
signal RGB : std_logic_vector(15 downto 0);
signal HSYNC_IN : std_logic;
signal VSYNC_IN : std_logic;
signal DISP_H : std_logic;
signal DISP_V : std_logic;
signal VIDEO_A : std_logic_vector(12 downto 0);
signal temp_ADDR : std_logic_vector(15 downto 0);
signal crosshatch : std_logic;

begin
 crosshatch <= '1' when (HCOUNT(4 downto 0)="00000") or (VCOUNT(3 downto 0)="0000") else '0';

 ADRGB <= RGB;
 HSYNC <= HSYNC_IN;
 VSYNC <= VSYNC_IN;
 
 SRAM_WR <= '1';
 SRAM_OE <= '1';
 MAINRAM_A <= "00000000000000";
 PALROM_A <="00000000000";
 LINEBUF_WR <= '0';
 LINEBUF_DOUT <="0000000000000000";
 LINEBUF_AOUT <= "0000000000";
 TEST_A <="000000000000";
 
 DE <= DISP_H and DISP_V; 
 DCLK <= HCOUNT(0);
 CLK574 <= not HCOUNT(0);

 process (HCOUNT,VCOUNT) begin
 if (crosshatch='1') then
 RGB <= "1111111111111111";
 else
 RGB <= "00000" & HCOUNT(9 downto 4) & VCOUNT(8 downto 4);
 end if;
 end process;
 
 process (VCOUNT) begin
 if (VCOUNT >= "011110111" and VCOUNT <= "011111101") then
 VSYNC_IN <= '0';
 else
 VSYNC_IN <= '1';
 end if;
 if (VCOUNT < "011100000") then --224
 DISP_V <= '1';
 else
 DISP_V <= '0';
 end if;
 end process;
 
 process (HCOUNT) begin
 if (HCOUNT >= "1010100101" and HCOUNT <= "1011101000") then
 HSYNC_IN <= '0';
 else
 HSYNC_IN <= '1';
 end if;
 
 if (HCOUNT <= "1000000000") then
 DISP_H <='1';
 else
 DISP_H <='0';
 end if;
 end process;

 process (CLK,RESET) begin
 if (RESET = '1') then
 HCOUNT <= "0000000000";
 VCOUNT <= "000000000";
 elsif (CLK'event and CLK='1') then
 if ( HCOUNT = "1110001101" ) then --909
 HCOUNT <= "0000000000";
 if (VCOUNT = "100000101") then --261
 VCOUNT <= "000000000";
 else
 VCOUNT <= VCOUNT + '1';
 end if;
 else
 HCOUNT <= HCOUNT + '1' ;
 end if;
 
 end if;
 end process;


end Behavioral;

 

新刊できました!! クリルの駄菓子戦争!

入稿は先週だったのですが、夏ごろからずーっと作っていた作品がやっと出来上がりました。読み切り漫画新作「クリルの駄菓子戦争」です!

ガチャガチャで大当たりの「忍者セット」を当てたクリル。景品の引き換えには隣町の店に行く必要があるらしい。その店はとてつもなく巨大な駄菓子屋で…?! ちょっとレトロ風味の読み切り漫画作品です。

今回は当発行物で初のカラー本文入り! 巻頭4Pのカラーで皆様を駄菓子ワールドに案内いたします。(通販のご案内は下のリンクへ!)

表紙低解像度

背景はCGで描かれます。巨大な駄菓子屋でクリルを待ち受けるものは…?スクリーンショット_2014_10_09_0_40

関連するpixiv投稿はこちら

クリルの駄菓子戦争(新刊sample) by いずみ陽 on pixiv

.


.

初売りは2014/10/13(祝)の関西けもケット(委託)、直接参加は2014/10/18(土)のふぁーすとです。

アリスブックスさんで通販中です!(通販開始:2014/10/13)。

banner_s

「バカラてんご」の通販開始

アリスブックスさんで「バカラてんご」の通販を開始しました。少年が魔物と融合して変身するちょっとした読み切り作品です。はじめての書店委託です。よろしくお願いします!

http://alice-books.com/item/show/3046-1

★「バカラてんご」について

「バカラてんご」はバカラシリーズの番外編です。ツカサ(人間)とバレオス・カラット(猫型魔物)の融合と冒険を描く読み切り短編です。<特設ページ>

バカラてんご表紙

 

 

サイト名変更しました

サークル名の変更に合わせてサイト名を「ループポイント」に変更しました。旧サイト名が残っている部分も多いと思いますが、現在の名称は「ループポイント」になります。

2014年8月4日(月)

 

 

コミッションいただきました!

mee_leak

「コミッション」は時々聞くも、あまり馴染みの無い言葉だと思います。自分的な解説だと、有料で依頼するイラストのこと。依頼主が個人の場合に特にコミッションと呼ばれるようです。

割とコミッション自体に興味あってtwitterでつぶやいてみたら、めえ(@mee0118)さんからリプいただきました。そこから話がどんどん進んで自キャラのリーク君を依頼となりました。

過程としては、キャラ指定ラフ画作成了解入金線画作成キャラ設定確認塗り納品でした。(青字がいずみ陽、赤字がめえさん)
完成したイラストが上で掲載されているものです!

用途は個人的な鑑賞用&サイト掲載用ということでお話させていただいています。

一番ドキドキしたのがラフ画の出来上がりですね。何が飛び出てくるか分からない、自分のキャラがどのようになっているか… 意外性を大切にしたいので指定は最小限にしました。

右(リークからは左手側)にいる小動物はラミュラ王子をイメージした猫さんということです。思いも付かなかったアレンジでした! リーク君もオシャレな絵柄になって、自分では描けないなあこういうの。面白いです。本来警備隊員と王子様だから立場的には逆転してるんですが、リークの深層心理を表してるのかもしれませんね…?

同じめえさんのコミッションLINEスタンプが、ビビッドなカラーで描かれてたのでこのイラストもそうなるのかなと思ってたんですが、引き出し広いなあと。自分でもこんな風に塗ってみたいな。

通常のファンアートと違って打ち合わせのプロセスが入るのですが、細かい設定結構自分で見落としてることに気付いたり。左腕にある紋章のデザインとか靴とか、あんまり深く詰めてなかった部分は聞かれて内心「ヤベッ」と思いました(笑)。キャラを人に伝えるって奥が深いなあ。

いろいろ書きましたがこんなところです。ありがとうございました!

2014.6 いずみ陽